banner
ニュース センター
厳選された素材と徹底した品質管理。

ClF3/H2 リモート プラズマを使用した酸化シリコン上の窒化シリコンの選択的エッチング

Jan 29, 2024

Scientific Reports volume 12、記事番号: 5703 (2022) この記事を引用

8386 アクセス

1 引用

1 オルトメトリック

メトリクスの詳細

現在の三次元 NOT-AND 型フラッシュ メモリ製造プロセスでは、酸化物/窒化物スタック内の酸化シリコン (SiOy) 上の窒化シリコン (SiNx) を正確かつ選択的に除去することが重要です。 この研究では、誘導結合プラズマ システムで ClF3/H2 リモート プラズマを使用して、SiOy 上の SiNx の高速かつ選択的等方性エッチングを研究しました。 室温のClF3リモートプラズマ下で、約130のエッチング選択性(SiOyに対するSiNx)で80nm/分を超えるSiNxエッチング速度が観察された。 さらに、ClF3 に H2 を添加すると、プラズマ中の F ラジカルの減少により酸化物と窒化物の両方のエッチング速度が低下する一方で、エッチング選択比が 200 を超えて増加しました。 ClF3、ClF3およびH2リモートプラズマの時間依存エッチング特性は、ブランク窒化物ウェーハと同様のエッチング速度で酸化物/窒化物スタックウェーハ上の窒化ケイ素をエッチングする際に、ローディング効果をほとんど示さなかった。

半導体デバイスのサイズがサブナノスケールに縮小され、デバイスの集積度が二次元構造から三次元構造に変化するにつれて、半導体デバイスの製造にはより精密かつ選択的なエッチング技術が必要となります1。 窒化シリコンは、高い絶縁特性、高い熱的および機械的安定性などと、選択的なエッチングにより、ドーパント拡散のバリア層、ゲート側壁スペーサ層、バッファ層などとして、さまざまな半導体デバイスにおいて広く使用されています。シリコンおよび/または酸化シリコン上の窒化シリコンは、さまざまなマイクロエレクトロニクス用途にとって重要です2。

最近、3 次元 NOT-AND 型フラッシュ メモリの製造では、垂直方向のメモリ密度を高めるために、窒化シリコン/酸化シリコン (SiNx/SiOy) の積層数が増加し、SiNx/SiOy の繰り返し層の厚さが減少し続けています。方向。 したがって、SiNx/SiOy スタック内の SiNx 層を均一かつ超高選択的に SiOy 層にエッチングすることは、より困難なプロセスになりつつあります。 これまで、SiNx/SiOy スタック内の SiNx の選択的エッチングは、熱リン酸 (H3PO4)3、4、5、6 を使用したウェット エッチングによって実現されていました。 しかし、ウェットエッチングの場合、SiNx/SiOy層の厚さが減少し、表面張力により残りのSiOy層が崩壊する可能性があるため、ホールへのエッチング溶液の浸透はより困難になります。 さらに、SiNx/SiOy のエッチング選択性を高めるためのいくつかの添加剤は、プロセス条件を注意深く制御しない限り、エッチング後に酸化物の再成長の問題を引き起こすことがわかっています5。 これらの問題を解決するには、三次元NOT-AND型フラッシュメモリ製造の代替技術として、SiNxを等方性かつ選択的にエッチングするドライプロセスの開発が必要である。

ドライエッチングプロセスを使用した、SiOy 上の SiNx の選択的エッチングに関するさまざまな研究が報告されています。 たとえば、CF4 ベース (CF4/O2/N2、CF4/CH4/Ar) ガスとマイクロ波化学ダウンストリーム エッチャーおよび誘導結合プラズマ (ICP) エッチャーを使用した、SiOy 上の SiNx の超高選択エッチングが報告されています 7,8 、9. さらに、NF3 ベース (NF3/O2/NH3、NF3/O2/N2) ガスは、ICP または容量結合プラズマ (CCP) に基づく下流のエッチャーを使用して、酸化シリコン上の窒化シリコンを超高選択的にエッチングするためにも使用されました9。 10、11、12、13。 しかしながら、酸化物の厚さが薄いため、現在の半導体プロセスに適用するには、酸化物に対する窒化物のエッチング選択性をさらに高める必要がある。 さらに、フルオロカーボン (CFx) エッチング ガスの使用には、膜表面への炭素または CFx (CHx) ポリマーの堆積による汚染の問題があり、デバイス製造にとって有害な要因となります。 工学的側面に関するこれらの制限が除外されていても、CF4 および NF3 ベースのエッチング ガスの高い地球温暖化係数 (GWP) [GWP 値。 CF4 (7,390)、NF3 (17,200)] は、近い将来、環境面から代替エッチング ガスのニーズを喚起します 14。

GWP ~ 0 の ClF3 は、主に、高い GWP 値を持つパーフルオロカーボン化合物 (PFC) の代わりに化学蒸着 (CVD) チャンバーのその場クリーニング ガスとして、または加熱によるシリコン エッチングのエッチング ガスとして使用されてきました。 、中性クラスタービームエッチング、反応性イオンビームエッチングなど15、16、17、18、19。 さらに、ClF3 は、ICP システムでの SiGe のエッチング 20、10 µm/min を超える超高速エッチング速度での SiC エッチング 21、金属酸化物上の遷移金属およびタンタル (窒化タンタル) などの金属窒化物の選択的エッチングについても研究されています ( Ta2O5) による低圧ガスエッチング法22。 本研究では、ClF3 リモートプラズマを利用して、三次元 NOT-AND 型フラッシュメモリを含む現行および次世代の半導体デバイス製造に適用可能な、酸化シリコン (SiOy) 上の窒化シリコン (SiNx) の高速かつ超高選択エッチングを実現しました。 ClF3 を使用した SiNx のエッチングは、80 nm/min を超える高いエッチング速度と、SiOy に対する SiNx のエッチング選択性約 130 を示しました。SiNx のエッチング選択性は、ClF3 プラズマに H2 を添加するとさらに増加し​​ました。 SiNx の選択エッチングに対する Cl、F、および H ラジカルの影響がプラズマおよび表面分析ツールを使用して調査され、そのエッチング機構が示唆されました。

図 1 は、本研究で使用したリモート型誘導結合プラズマ (ICP) エッチング装置の概略図です。 プロセスチャンバーの内部は、陽極酸化処理により酸化アルミニウム層でコーティングされました。 対流ゲージで測定したプロセスチャンバーのベース圧力は 3 × 10-3 Torr に維持され、静電容量圧力計 (Baratron ゲージ) で監視された動作圧力は 200 mTorr に維持されました。 チャンバー上部の平面型 ICP コイルに 13.56 MHz の RF 電力を印加しました。 SiNx の等方性エッチングでは、半径 1.5 mm の複数の穴を備えた二重グリッドを ICP リアクターの中心に配置して、イオン衝撃効果を防ぎ、ラジカルを基板上に供給しました。 基板温度はサンプル下のサンプルステージで測定され、熱電対で監視され、外部電源に接続された炭化ケイ素(SiC)ヒーターで25~500℃に調整されました。 三フッ化塩素 (ClF3、> 99.9%、200 sccm)、H2 (> 99.999%)、およびアルゴン (> 99.999% Ar、200 sccm) を、円形のガス分配器を通してプロセス チャンバーに流しました。

リモート型誘導結合プラズマ(ICP)エッチャーの概略図。 チャンバーの中心には、イオン衝撃を防ぎラジカルのみを基板に届けるため、多数の穴を備えたダブルグリッドが設置されています。 プロセス中、基板温度は基板の下にある炭化ケイ素 (SiC) ヒーターによって制御されました (RT ~ 500 °C)。

ブランクの厚さ 1.5 μm の SiNx 薄膜、ブランクの厚さ 300 nm の SiOy 薄膜、および SiOy (27 nm) と SiNx (27 nm) 薄膜の繰り返しで構成される多層スタックは、プラズマ化学気相成長 (PECVD) プロセス (付属) によって堆積されました。 WONIK IPS Inc.による)。

SiNx と SiOy のエッチング速度は、フォトレジスト (PR、AZ 5214E) をエッチングマスクとして使用してパターニングした後、段差形状計 (Tencor、Alpha-step 500) および走査型放射顕微鏡 (SEM、Hitachi S-4700) で測定しました。 また、SiNx/SiOyスタックからなる多層薄膜のエッチングプロファイルをSEMにより観察した。 エッチング後の膜の表面粗さは、原子間力顕微鏡(AFM、XE-100、Park System)により非接触測定モードで測定した。 ClF3/H2 プラズマの特性は発光分光法 (OES、Avaspec-3648) で分析されました。 エッチングプロセス中の副生成ガスは、フーリエ変換赤外分光法 (FT-IR、MIDAC 12,000) で監視されました。 エッチング前後のSiNxとSiOy(それぞれ初期厚さ500、300 nmの薄膜)の結合状態と原子組成を、単色Al Kαを用いたX線光電子分光法(XPS、MXP10、ThermoFisher Scientific)により分析しました。スポットサイズ 400 μm の光源 (1,486.6 eV)。 XPS の予想されるエネルギー分解能は 0.5 eV FWHM 未満です。 Avantage 5.0 ソフトウェアを曲線フィッティングに使用し、シャーリー バックグラウンドを使用して各ピークの面積を計算しました。 試料へのX線の入射角は50°であり、半球セクターエネルギーアナライザは試料ステージに対して垂直に配置された。

図 2 は、ClF3 ガスのみと ClF3 リモート プラズマを使用した SiNx と SiOy のエッチング特性を示しています。 ClF3 リモート プラズマの場合、プラズマの安定性を高めるために、200 sccm の Ar が 200 sccm の ClF3 に追加されました。 図2aに示すように、ClF3の解離が促進されるため、RF電力の増加とともにSiNxとSiOyのエッチング速度は徐々に増加し、SiNxとSiOyの最大エッチング速度はそれぞれ〜90nm/min、〜0.8nm/minに達しました。 SiOyに対するSiNxのエッチング選択性は、100〜400Wの高周波電力にわたって大きく変化しなかった(〜120)ことに注意してください。図2bに示すように、SiNxとSiOyは、ClF3ガスを流さずに単にClF3ガスを流すだけでもエッチングできました。高周波プラズマによる ClF3 の解離と基板温度の上昇により、両方の膜のエッチング速度が増加しました。 しかしながら、ClF3ガス流のみによる全体的なSiNxエッチング速度は、ClF3リモートプラズマを用いたエッチングと比較してはるかに低く、これは、ClF3リモートプラズマエッチングが、プラズマを用いない熱エッチングによるものと比較して、SiNxエッチングにとってはるかに効果的な方法であることを実証している。 一方、基板温度の上昇に伴って両方の材料のエッチング速度が増加したにもかかわらず、SiOy に対する SiNx のエッチング選択性は減少しました。 リモート プラズマ エッチングでも同じ傾向が観察されました。 図2cに示すように、300Wの固定rf電力で基板温度を約500℃まで上昇させると、エッチング選択性が40未満で徐々に低下する一方、600nm/分を超えるSiNxエッチング速度の増加が示されました。 SiNxとSiOyのエッチングに対するプロセス温度の影響は、図2dに示すように、ClF3リモートプラズマエッチングの逆温度(1/T)の関数としてSiNxとSiOyのエッチング速度を対数的にプロットすることで理解できます。 化学的に活性化されたエッチングの場合、エッチング速度は次のアレニウスの式で表すことができます。

ここで、k は速度定数、R は気体定数 (1.987 cal K-1 mol-1)、T はプロセス温度 (K)、Ea は活性化エネルギーです。 SiNx と SiOy の計算された活性化エネルギー (Ea) は、それぞれ 1.93 kcal/mole と 3.18 kcal/mole でした。 SiOy の活性化エネルギーが高いということは、温度の上昇に伴って SiOy のエッチング速度が SiNx のエッチング速度よりも速く上昇することを意味し、両方の材料のエッチング速度が温度の上昇とともに指数関数的に増加するにもかかわらず、SiOy に対する SiNx のエッチング選択性の低下につながります。基板温度の上昇。 各プロセス条件(リモートプラズマエッチングおよび熱エッチング)でのエッチング後のSiNxおよびSiOyの二乗平均平方根(RMS)表面粗さは、異なるエッチング方法のサンプル間でRMS表面粗さに大きな違いはありませんでした(図S1、補足)情報)。

SiNx および SiOy のエッチング特性 (a) 室温での C​​lF3 リモート プラズマの高周波電力の関数として、(b) ClF3 ガス流のみを使用した化学エッチングの基板温度の関数として、(c) 基板温度の関数として300 W の高周波電力での ClF3 リモート プラズマの場合。 プラズマ安定性のために、200 sccm Ar (200 sccm) を ClF3 に添加しました。 (d) 活性化エネルギーの抽出に関する (c) の SiNx および SiOy の ClF3 リモート プラズマ エッチングの対数エッチング速度と 1/T の関係。

SiOy に対する SiNx のエッチング選択性を向上させるために、Ar に加えて H2 を ClF3 に添加しました (プラズマ安定性のために Ar も ClF3/H2 に添加しました)。また、SiNx と SiOy のエッチング特性に対する ClF3 への H2 の添加の効果は次のとおりです。 ClF3/H2(ClF3/H2/Arプラズマ)中のH2パーセンテージの関数として調査され、結果が図3aに示されています。 ClF3/H2 中の H2 の割合を増やすために、基板温度を 25 °C、動作圧力を 200 mTorr、ClF3 流量を 200 sccm、Ar 流量を 200 sccm、RF 電力を維持しながら、H2 流量を増加しました。 SiNx と SiOy の両方のエッチング速度は H2 パーセンテージの増加とともに減少しましたが、SiOy に対する SiNx のエッチング選択性は、ClF3/H2 における H2 パーセンテージの増加とともに増加しました。 SiOy に対する SiNx の選択性エッチングのメカニズムを研究するために、プラズマ中の解離種をチャンバーの中心で OES によって調査し、プロセス中の副生成物をポンピングサイトで FTIR を使用して監視しました。 図3b、cは、発光スペクトルと、ClF3/H2中のH2パーセントの関数としてArの強度で正規化したCl、F、およびHの相対発光ピーク強度をそれぞれ示しています。 図3bでは、Cl、H、F、Arに関連する発光ピーク強度をそれぞれ280、656、704、750 nmで測定できました。 図3cでは、発光強度からラジカル密度を推定する際の電子密度の影響を最小限に抑えるために、Cl、F、およびHの発光強度をAr(750 nm)の発光強度で規格化しています。 図3cに示すように、H2パーセンテージの増加はClの強度を変化させませんでしたが、H強度が増加する一方でF強度は減少しました。 図 3 は、ClF3/H2 中のさまざまな H2 パーセンテージについてポンプサイトで測定された、SiF4 や HF などの副生ガスの FTIR データを示しています。 H2 流量の増加に伴い SiF4 濃度は減少しており、プラズマ中の水素 (H) とフッ素 (F) ラジカルの反応により HF 濃度が増加しながら SiNx のエッチングが抑制されたことを意味します。 通常、フッ素ベースのプラズマに水素を添加すると、ガス状の HF 分子 23,24 が形成され、F ラジカルが除去されます。この分子は、水性 (イオン化) 状態とは異なり、SiNx (および SiOy) のエッチングにほとんど影響を与えません 25、26。

(a) ClF3/H2 中の H2 パーセンテージの関数としての、ClF3/H2 プラズマによる SiNx および SiOy のエッチング特性。 (b) ClF3/H2 中の H2 パーセンテージが異なる ClF3/H2/Ar プラズマの OES データ。 (c) (b) の Ar (750 nm) の強度で正規化された Cl、F、および H の発光強度が H2 パーセンテージの関数としてプロットされています。 (d) SiNx エッチング中の ClF3/H2 プラズマの FTIR データ。 ClF3/H2 リモート プラズマの場合、プラズマの安定性を高めるために 200 sccm の Ar が追加されました。

ClF3/H2 プラズマエッチング後の SiNx と SiOy の Si 結合状態と表面組成を X 線光電子分光法 (XPS) を用いて分析した結果を図 4 と表 1 に示します。基板温度25°C、動作圧力200mTorr、ClF3/H2/Ar流量200/(0および40)/200sccm、およびrf電力300W。図4a、dに示すように、基準のSiNxとSiOyは、それぞれ101.7 eVでSi-Nのみ、103.4 eVでSi-Oを示しました。 しかし、ClF3プラズマによるエッチング後、おそらくSiとFの結合により、顕著なSi-F結合(103.6eV)がSiNx表面に形成されました(図4b)。 H2(20%)を添加すると、プラズマ中のFが減少するため、Si-F結合比は減少します(図4cおよび表1)。 ただし、図3bのOESデータで確認されたように、ClF3 / H2プラズマ中に十分なClラジカルがあったにもかかわらず、SiNxの表面には塩素またはSi-Cl結合(〜103.3 eV)は観察されませんでした。 Si-Cl と F ラジカルの反応。 一方、図4e、f)に示すように、ClF3およびClF3/H2プラズマによるエッチング中に、SiOy表面のF濃度に大きな変化はありませんでした。 また、Si ナロースキャンデータ (Si 2p) のデコンボリューションから、ClF3 および ClF3/H2 プラズマによるエッチング中に、SiOy 表面上に目立った Si-F 結合の形成は観察されず、F の大部分がエッチング後に SiOy 表面に吸着されていることを示しています。エッチング。 さらに、Si-O結合はSiNxと比較してFラジカルとの反応性が低いため、SiOy表面上のFの量はSiNxの量よりもはるかに少なくなります。 図4g、hに示すように、OESでは塩素が観察されたにもかかわらず、SiNxとSiOyの両方の表面には塩素は観察されませんでした(図3b)。 SiNx の曲線フィッティングに使用したパラメータを表 1 に示します。曲線フィッティングの正規化カイ二乗値は 0.01 未満でした。 各元素の組成情報は、表S1の補足情報に記載されています。

リモート ClF3/H2 プラズマによるエッチング後の SiNx (ac)、SiOy (df)、および Cl 2p (g、h) の XPS ナロー スキャン (Si 2p) データ。

SiNx と SiOy のエッチングは、シリコン (Si) 化合物の結合エネルギーによって説明できます。 図5は、Cl、Fラジカル下でのSiNxおよびSiOyのエッチング機構を示しています。 Si-F (565 kJ/mol) の結合エネルギーは Si-N (355 kJ/mol) や Si-O (452 kJ/mol)22 よりも高いため、SiNx と SiOy は十分な条件下で自然にエッチングされます。プラズマ中には F ラジカルが存在しますが、エッチングは SiOy よりも SiNx の方がはるかに活発です。 ただし、Si-Cl の結合エネルギー (381 kJ/mol) は、Si-N の結合エネルギーよりわずかに高く、Si-O の結合エネルギーよりは低いため、Cl ラジカルは SiNx とのみ反応し、Si-Cl 結合を形成することができます。 。 Si-N が Si-Cl に変化すると、プラズマ中の F ラジカルによって Si-Cl がより容易に Si-F に変換されます(図 5 に示すように、Si-Cl から Si-F への素早い変換のため)。 ClF3/H2 によるエッチング中に SiNx と SiOy の表面に塩素は観察されませんでした)、SiNx 上の Si-F は揮発性 SiF4 化合物として除去されます。 一方、ClF3 プラズマに H2 を添加すると、プラズマ中に HF が形成されて F ラジカルの密度が減少し、SiNx および SiOy の表面での Si-F 形成が減少し、その結果、SiNx および SiOy のエッチング速度が低下します。シオイ。 ただし、図3c)のOESデータで確認されたように、プラズマ中の塩素濃度はH2の添加によって大きな影響を受けないため、変換によってH2の割合が増加すると、SiNxのエッチングはSiOyのエッチングに比べてよりゆっくりと減少します。これにより、SiNx 表面の Si-Cl が Si-F に変化し、SiOy に対する SiNx のエッチング選択性が増加すると考えられます。

SiNx および SiOy のエッチングにおける ClF3/H2 リモート プラズマの化学反応の概略図。 考えられる反応経路が示されています。

ClF3 および ClF3/H2 (20%) のエッチング条件を使用して、SiNx/SiOy の積層層をエッチングしました。結果を図 6 に示します。図 6a は、エッチング前の SiNx/SiOy の基準積層体です。 図 6b、c は、ClF3 および ClF3/H2 (20%) プラズマをそれぞれ 5 分間および 10 分間使用してエッチングした後の SiNx/SiOy の積層層です。 図6b、cに示すように、エッチング深さに沿ったSiOyの厚さの顕著な違いを示さず、ClF3とClF3/H2(20%)の両方でSiOy上のSiNxの高選択エッチングが観察できました。 したがって、実際の SiNx/SiOy のエッチング選択性は、ブランク ウェーハで測定したエッチング選択性よりも高くなる可能性があると思われます。 エッチング時間の増加に伴うエッチング深さも測定され、結果は ClF3 と ClF3/H2 (20%) の両方について d) に示されています。 ClF3 および ClF3/H2 リモートプラズマによる SiNx のエッチング速度の測定値は、それぞれ 80 および 26 nm/min であり、反応性プラズマの等方性エッチング特性により、同じプラズマ条件でのブランクサンプルと同様の値を示しました (図 2a、3a)。ラジカル。 さらに、エッチング時間に対するエッチング深さは両方の条件で線形であったため、アスペクト比に依存するエッチングは観察されませんでした。 (SiNx/SiOy スタックのプロセス時間依存のエッチング プロファイルは、図 S2 および S3 の補足情報に示されています)。

積層型 SiNx/SiOy における ClF3 のみと ClF3/H2 (20%) プラズマのエッチング特性。 (a) 参照積層型 SiNx/SiOy の SEM 画像。 (b) ClF3 プラズマと (c) ClF3/H2 (20%) でそれぞれ 5 分間と 10 分間エッチングした後の、積層された SiNx/SiOy のエッチング プロファイル。 (d) ClF3 および ClF3/H2 (20%) プラズマのエッチング時間に対する積層型 SiNx/SiOy 内の SiNx のエッチング深さ。

SiOy 上の SiNx の等方性かつ選択的なエッチングを、ICP ソースを備えた ClF3/H2 リモート プラズマを使用して研究しました。 プラズマ支援熱プロセスを使用した SiNx エッチングは、熱エッチングまたはプラズマ エッチングのみでエッチングした場合と比較して、最も高いエッチング速度と最も滑らかな表面形態を示しました。 SiNx と SiOy の温度依存性エッチング特性は、ClF3 リモート プラズマ中での SiNx の活性化エネルギーと比較して、SiOy の活性化エネルギーが高いことを示しました。 さらに、ClF3 プラズマに H2 (20%) を追加すると、SiNx のエッチング速度が約 83 nm/min から約 23 nm/min に低下したにもかかわらず、SiOy に対する SiNx のエッチング選択性が 130 から 200 に改善されました。 当社では、高速かつ超高選択なSiNxエッチング技術は、次世代の3次元NOT-AND型フラッシュメモリの製造プロセスのみならず、SiNxの精密なエッチングが要求される様々な半導体プロセスへの応用が可能であると考えています。

MM ミルザら。 誘導結合プラズマエッチングを使用した高アスペクト比 (50:1) サブ 10 nm シリコン ナノワイヤのナノ加工。 J.Vac. 科学。 テクノロジー。 B 30、06FF02 (2012)。

Seo, D.、Bae, JS、Oh, E.、Kim, S. & Lim, S. フッ化物とケイ素化合物を添加したリン酸中での Si3N4/SiO2 の選択的ウェット エッチング。 マイクロ電子。 工学 118、66–71 (2014)。

記事 CAS Google Scholar

Bassett, D.、Printz, W.、Furukawa, T. 3D NAND 構造における窒化シリコンのエッチング。 ECSトランス。 69、159 (2015)。

記事 CAS Google Scholar

Chien、YHC、Hu、CC、Yang、CM A 枚葉式プロセッサを使用したリン酸中での Si3N4/SiO2 の選択的ウェット エッチングの設計。 J.Electrochem. 社会 165、H3187 (2018)。

記事 CAS Google Scholar

Kim, T.、Son, C.、Park, T.、Lim, S. 垂直 3D NAND 構造における窒化シリコンのエッチング中の酸化物再成長メカニズム。 マイクロ電子。 工学 221、111191 (2020)。

記事 CAS Google Scholar

Bouchilaoun、M.ら。 ソフトで選択的な窒化ケイ素エッチングのための水素プラズマ処理。 物理学。 ステータス Solidi (A) 215、1700658 (2018)。

Chen, L.、Xu, L.、Li, D. & Lin, B. 水素含有フルオロカーボンプラズマ中での SiO2 上の選択的 Si3N4 エッチングのメカニズム。 マイクロ電子。 工学 86、2354–2357 (2009)。

記事 CAS Google Scholar

Lee, S.、Oh, J.、Lee, K. & Sohn, H. 窒化シリコン ゲート スペーサー エッチングのための、SiO2 膜上の Si3N4 膜の超高選択エッチング。 J.Vac. 科学。 テクノロジー。 B 28、131–137 (2010)。

記事 CAS Google Scholar

Kastenmeier、BEE、松尾、PJ、Oehrlein、GS シリコンおよび二酸化シリコン上の窒化シリコンの高選択性エッチング。 J.Vac. 科学。 テクノロジー。 A 17、3179–3184 (1999)。

記事 ADS CAS Google Scholar

小川宏、新井哲、柳沢正、一木哲、堀池裕、高温NH3/NF3曝露を利用したシリコン自然酸化物除去のためのドライクリーニング技術。 日本 J.Appl. 物理学。 41, 5349 (2002)。

記事 ADS CAS Google Scholar

Volynets、V. et al. NF3/N2/O2/H2 リモート プラズマを使用した選択性の高い Si3N4/SiO2 エッチング。 I. プラズマ源と臨界流束。 J.Vac. 科学。 テクノロジー。 A 38、023007 (2020)。

記事 CAS Google Scholar

Jung、JEら。 NF3/N2/O2/H2 リモート プラズマを使用した選択性の高い Si3N4/SiO2 エッチング。 II. 表面反応機構。 J.Vac. 科学。 テクノロジー。 A 38、023008 (2020)。

記事 CAS Google Scholar

Kastenmeier、BEE、matsuo、PJ、Oehrlein、GS & Langan、JG NF3/O2 混合ガスを使用した窒化シリコンと二酸化シリコンのリモート プラズマ エッチング。 J.Vac. 科学。 テクノロジー。 A 16、2047 ~ 2056 (1998)。

記事 ADS CAS Google Scholar

Raju, R.、Kudo, D.、Kudo, Y.、Inaba, T. & Shindo, H. 誘導結合プラズマを使用した C4F8 の温暖化電位低減。 日本 J.Appl. 物理学。 42、280 (2003)。

記事 ADS CAS Google Scholar

毛利一・早川真司:地球環境問題を考慮したCVD装置のクリーニングへのフッ素系ガスの応用 -1- CVD装置用材料のClF3に対する耐食性。 J.アグリック. メテオロール。 52、849–852 (1997)。

記事 Google Scholar

Flamm, DL フッ素および塩素を含むプラズマにおけるシリコンエッチングのメカニズム。 ピュアアプリ。 化学。 62、1709–1720 (1990)。

記事 CAS Google Scholar

関 徹 他 ClF3-Ar ガスクラスター注入による Si の斜めエッチング。 日本 J.Appl. 物理学。 56、06HB02 (2017)。

山本 洋、関 哲、松尾 純、小池 和也、小澤 哲 ClF3-Ar 中性クラスターエッチングによる高アスペクト比パターニング。 マイクロ電子。 工学 141、145–149 (2015)。

記事 CAS Google Scholar

Ibbotson, DE、Mucha, JA、Flamm, DL & Cook, JM フッ素含有化合物によるシリコンのプラズマレス ドライ エッチング。 J.Appl. 物理学。 56、2939–2942 (1984)。

記事 ADS CAS Google Scholar

Leinenbach, C.、Seidel, H.、Fuchs, T.、Kronmueller, S. & Laermer, F. CLF3 におけるシリコン ゲルマニウムの高選択エッチングに基づく新しい犠牲層技術。 IEEE 20th International Conference on Micro Electro Mechanical Systems (MEMS) 65–68 (2007)。

羽深 宏 ほか三フッ化塩素ガスを使用した炭化ケイ素のエッチング。 日本 J.App. 物理学。 44、1376 (2005)。

記事 ADS CAS Google Scholar

Ibbotson 、 DE 、 Mucha 、 JA 、 Flamm 、 DL & Cook 、 JM タンタル化合物およびその他の半導体材料の選択的ハロゲン間エッチング。 応用物理学。 レット。 46、794–796 (1985)。

記事 ADS CAS Google Scholar

Kim、JH、Lee、HJ、Joo、JH、Whang、KW 高選択性 SiO2 エッチングに対するチャンバー壁加熱によるラジカル損失制御の効果。 応用科学。 収束する。 テクノロジー。 5、169–174 (1996)。

Google スカラー

中山大樹 ほか CF3I/O2/H2 中性ビームを使用した、ゲート側壁スペーサー用の Si および SiO2 への窒化シリコンの高選択性エッチング。 J.Phys. D. 46、205203 (2013)。

記事 ADS Google Scholar

Knotter, DM & Denteneer, TD HF ベースの溶液における窒化ケイ素のエッチング機構。 J.Electrochem. 社会 148、F43 (2001)。

記事 CAS Google Scholar

Tian、F. & Teplyakov、AV Si-N 結合をターゲットとしたシリコン表面官能化。 ラングミュア 29、13–28 (2013)。

記事 CAS Google Scholar

リファレンスをダウンロードする

本研究は産業通商資源部(20003665)、KSRC(韓国半導体研究コンソーシアム)未来半導体デバイス開発支援プログラム、サムスン電子株式会社(IO201211-08086)の支援を受けて実施しました。 -01)。 この研究は、成均館大学と、教育部(韓国MOE)および韓国国立研究財団(NRF)から資金提供を受けたBK21 FOUR(大学院イノベーション)からも支援されました。 著者らは、SiNx/SiOy スタック ウェーハの供給については Wonik IPS に、また ClF3 ガスの供給については Wonik Materials に感謝したいと思います。

Won Oh Lee 氏と Ki Hyun Kim 氏も同様に貢献しました。

成均館大学校先進材料科学工学部、2066 Seobu-ro、Jangan-gu、Suwon-si、Gunggi-do、16419、Republic of Korea

ウォン・オ・リー、キ・ヒョン・キム、ドゥ・サン・キム、ユ・ジンジ、ジ・ウンガン、ヒョヌ・タク、ジヌ・パク、グニョンヨム

研究開発グループ、Wonik Materials Co. Ltd.、清州、28125、大韓民国

ハン・ドク・ソン、ビョン・オク・チョ、ヨン・レ・キム

マサチューセッツ工科大学電子工学研究所、米国マサチューセッツ州ケンブリッジ

キム・ギソク

SKKU Advanced Institute of Nano Technology (SAINT)、成均館大学、2066 Seobu-ro、Jangan-gu、Suwon-si、Gunggi-do、16419、Republic of Korea

グン・ヨンヨム

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

PubMed Google Scholar でこの著者を検索することもできます

GYYがプロジェクトを立ち上げました。 WOL、KHK、GYY が実験計画に貢献しました。 WOL、KHKが主な原稿テキストを執筆しました。 DSK、JWP が実験セットアップに貢献しました。 HWTではOES測定を実施しました。 YJJ、JEKはプラズマ処理を行いました。 著者全員が原稿をレビューしました。

グン・ヨンヨムさんへの手紙。

著者らは競合する利害関係を宣言していません。

シュプリンガー ネイチャーは、発行された地図および所属機関における管轄権の主張に関して中立を保ちます。

オープン アクセス この記事はクリエイティブ コモンズ表示 4.0 国際ライセンスに基づいてライセンスされており、元の著者と情報源に適切なクレジットを表示する限り、あらゆる媒体または形式での使用、共有、翻案、配布、複製が許可されます。クリエイティブ コモンズ ライセンスへのリンクを提供し、変更が加えられたかどうかを示します。 この記事内の画像またはその他のサードパーティ素材は、素材のクレジットラインに別段の記載がない限り、記事のクリエイティブ コモンズ ライセンスに含まれています。 素材が記事のクリエイティブ コモンズ ライセンスに含まれておらず、意図した使用が法的規制で許可されていない場合、または許可されている使用を超えている場合は、著作権所有者から直接許可を得る必要があります。 このライセンスのコピーを表示するには、http://creativecommons.org/licenses/by/4.0/ にアクセスしてください。

転載と許可

Lee, W.、Kim, K.、Kim, D. 他 ClF3/H2 リモート プラズマを使用した、酸化シリコン上の窒化シリコンの選択的エッチング。 Sci Rep 12、5703 (2022)。 https://doi.org/10.1038/s41598-022-09252-3

引用をダウンロード

受信日: 2021 年 12 月 7 日

受理日: 2022 年 3 月 17 日

公開日: 2022 年 4 月 5 日

DOI: https://doi.org/10.1038/s41598-022-09252-3

次のリンクを共有すると、誰でもこのコンテンツを読むことができます。

申し訳ございませんが、現在この記事の共有リンクは利用できません。

Springer Nature SharedIt コンテンツ共有イニシアチブによって提供

コメントを送信すると、利用規約とコミュニティ ガイドラインに従うことに同意したことになります。 虐待的なもの、または当社の規約やガイドラインに準拠していないものを見つけた場合は、不適切としてフラグを立ててください。